Loading...
Search for: hessabi--shahin
0.125 seconds

    Power Reduction Through Efficient Serial Transmission in NoCs

    , M.Sc. Thesis Sharif University of Technology Bonakdar, Hojjat (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    With progress in integrated circuits technology, on chip systems have become operational, and after that, onchip network are as solutions to improve onchip connections and also its scalability. With improving technology, the number of cores on chips can be more, that it causes increasing importance of produced problems by parallel links. Serial links are one of the methods to decrease these problems. Serial links have some advantages in compare with parallel links in some aspects like: clock pulse skew, cross talk, area cost, difficulties in wiring and synchronizing clock pulse signals. But any way, problems such as high operational frequency and complicated serializer and deserializer... 

    Reducing Power Consumption in NoCs Through Adaptive Data Encoding

    , M.Sc. Thesis Sharif University of Technology Taassori, Meysam (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Recent advances in VLSI technology have led to integrate a few billion transistors on a chip. Systems on Chip provide solutions to the design problems of these systems. As technology scales down to deep sub-micron dimensions, the delay and power consumption of global interconnects become the major bottleneck in SoC design. Networks on Chip (NoCs) have been proposed as an efficient, scalable, modular and reliable solution to provide on chip communication in large VLSI design. The market trend to mobile digital systems and battery-powered devices add power as a new dimension to VLSI design space in addition to speed and area. Interconnect wires dissipate a significant fraction of power... 

    Energy Efficient Concurrent Test of Switches and Links for Networks-On-Chip

    , M.Sc. Thesis Sharif University of Technology Alamian, Sanaz (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Nowadays by increasing the number of processing cores in system-on-chip, using networks-on-chip, as an optimized interconnection foundation for transferring data between processing cores is inevitable .Based on this, the necessity of designing and implementing an optimized structure for testing network-on-chip, considering various overheads such as power consumption, latency, bandwidth and area, becomes an important issue in designing network-on-chip. The purpose of this project is to design an optimized structure for testing routers and connecting links in network, which considers power consumption overhead, latency and area overhead on one hand, and fault coverage on the other hand.... 

    A Hardware-Software Partitioner for Deep Learning Algorithms

    , M.Sc. Thesis Sharif University of Technology Haghighi, Sepand (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Deep learning, as a subdivision of machine learning, attempts to model high-level concepts by using a deep graph, consisting of several layers of linear and nonlinear transformations. Implementing these algorithms on hardware is a big challenge.¬This project offers a system in which various hardware methodologies can be used to implement deep learning algorithms side by side. The overall structure of the system consists of high-level programming interfaces for implementation and expression of machine learning algorithms by the user, which will be available as libraries in a high-level programming language such as Python, Ruby, and Julia. These interfaces allow the user to evaluate their... 

    An Ensemble Approach for Fault Analysis in Internet of Things

    , M.Sc. Thesis Sharif University of Technology Bahrpeyma, Mahyar (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Today, data analysis has become a valuable tool in various fields in order to discover the forms or abnormal behavior of the system. For example, detecting credit card fraud, network intrusion, or detecting defects in sensors. In this thesis, a method for fault analysis is presented, which can be used as a monitoring tool to monitor the data that is received in a time series from an Internet of Things system and understand the behavior of the system. This method is designed in such a way that by matching the components with the input data, in general, it is applicable for our desired time series. The presented algorithm is implemented in an unsupervised environment using a statistical... 

    , M.Sc. Thesis Sharif University of Technology Mosayyebzadeh, Amin (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Three dimensional or vertical integration is a new way of increasing the performance and expanding the capacities of modern integrated circuits. Using this technology, 3D Networks on Chip has been proposed as one of the novel research fields and has been receiving a lot of attention. 3D Networks on chip have a lot of benefits such as capability of large scale integration, increasing the density of elements on chip and expanding the dimensions of chips. Large scale integration and increasing density of elements will cause more consumption of energy. This more energy consumption will cause high temperature in chips. Although high temperature has been managed in 2D networks, but necessity of... 

    Improvement of the in-Memory Automata Processor Accelerators using Emerging Memories

    , M.Sc. Thesis Sharif University of Technology Yazdanpanah, Ali (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Non-deterministic finite automata (NFA) are an elementary type of Turing machines with very high processing power. NFA processors provide parallelism at the data and task level because they can be in several different output states in one clock cycle. Implementing such machines with memory is a good strategy because if we consider each of the memory columns as a state, by selecting a row of the memory, we can activate several states at the same time, which is an implementation of NFA. NFA-based automata processors were first introduced by Micron and were very powerful for issues such as pattern matching, DNA sequencing, or regular expressions and, in general, for machine learning topics.... 

    Hierarchical Fat-tree Topology for an Optical Network-on-Chip

    , M.Sc. Thesis Sharif University of Technology Hoseini, Fateme Sadat (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    With increasing number of processors on a chip, the role of interconnections becomes more important in both power consumption and bandwidth. As a result, in MultiProcessor System-on-Chip architectures, the design constraints will shift from "Computational Constraints" to "Communicational Constraints". Nowadays, optical information transfer is introduced as a suitable substitution for electrical interconnections in chips, which can eliminate their problems. Many different optical networks have been presented so far. These networks can be divided into two subcategories. Networks of the first category use an electrical infrastructure as well as optical one. Hence, the scalability of scheme is... 

    Thermal Management in Fault-Tolerant Mixed-Criticality Multicore Systems

    , M.Sc. Thesis Sharif University of Technology Gohari Nazari, Pourya (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    The increasing complexity of embedded systems has led to the integration of tasks with various degrees of criticality on a common hardware platform called a mixed-criticality system. These systems typically exploit the inherent redundancy of multicore systems to employ the fault-tolerant techniques to satisfy the required target reliability. On the other hand, the use of fault-tolerant techniques increases the time that cores are simultaneously active with maximum power, which can violate the thermal design power (TDP) and exceed the safe temperature of the chip. This activates the dynamic thermal manage- ment (DTM) technique. Some of the most well-known methods to reduce the chip surface... 

    Task Migration in 3D NoCs Using Game Theory

    , M.Sc. Thesis Sharif University of Technology Hassanpour Ghadiو Neda (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Combination of 3D IC technology and network on chip (NoC) is an effective solution to increase system scalability, and also alleviate the interconnect problem in large scale integrated circuits. However, due to the increased power density in 3D NoC systems and the destructive impact of high temperatures on chip reliability, applying thermal management solutions becomes crucial in such circuits. Since hardware thermal control techniques, such as DVFS, can cause significant degradation on chip performance, in this thesis we propose a runtime distributed migration algorithm based on game theory to balance the heat dissipation among processing elements (PEs) in 3D NoCs. The objective of this... 

    Design and Evaluation of a 3D Network on chip with an Efficient Topology

    , M.Sc. Thesis Sharif University of Technology Mehdizadeh Amiraski, Maziar (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Three dimensional or vertical integration is a new way of increasing the performance and expanding the capabilities of modern integrated circuits. Using this technology, 3D Networks on Chip has been proposed as one of the novel research fields and has been receiving a lot of attention. Using the third dimension, different topologies could be implemented in these chips and positive effects of this integration like decreasing the length of interconnections and decreasing the communication latency as the result of that could be utilized. In addition to common topologies like mesh and torus, it is possible to implement other structures in three dimensional integration. Topologies with lower... 

    Reliable Adaptive Wavelength Modulation for Optical Networks-on-Chip

    , M.Sc. Thesis Sharif University of Technology Karimi, Roshanak (Author) ; Hessabi, Shahin (Supervisor) ; Koohi, Somayyeh (Supervisor)
    Abstract
    Integrating hundreds of cores on a single chip necessitates high performance interconnection network. Due to great delay and power consumption of electrical networks in global connections, their use might be limited in future. Optical networks-on-chip are introduced recently as a proper alternative for electrical networks by providing high bandwidth, low latency, and low power consumption. Despite these outstanding advantages, optical component behavior is very sensitive to temperature fluctuations. Specifically, thermal variations affect refractive index of semiconductor, which in turn change resonating wavelength of micro-ring resonator. Therefore, the switch cannot operate properly and... 

    Energy Reduction in GPGPUs

    , Ph.D. Dissertation Sharif University of Technology Falahati, Hajar (Author) ; Hessabi, Shahin (Supervisor) ; Baniasadi, Amirali (Co-Advisor)
    Abstract
    The number of transistors on a single chip is growing exponentially which results in a huge increase in consumed power and temperature. Parallel processing is a solution which concentrates on increasing the number of cores instead of improving single thread performance. Graphics Processing Units (GPUs) are parallel accelerators which are categorized as manycore systems. However, recent research shows that their consumed power and energy are increasing. In this research, we aim to propose methods to make GPGPUs energy effiecient. In this regard, we evaluated the detailed consummed power of GPGPUs. Our results show that memory sub-system is a critical bottelneck in terms of performance and... 

    SMART: a scalable mapping and routing technique for power-gating in NoC routers

    , Article 2017 11th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2017, 19 October 2017 through 20 October 2017 ; 2017 ; 9781450349840 (ISBN) Farrokhbakht, H ; Kamali, H. M ; Hessabi, S
    2017
    Abstract
    Reducing the size of the technology increases leakage power in Network-on-Chip (NoC) routers drastically. Power-gating, particularly in NoC routers, is one of the most efficient approaches for alleviating the leakage power. Although applying power-gating techniques alleviates NoC power consumption due to high proportion of idleness in NoC routers, since the timing behavior of packets is irregular, even in low injection rates, performance overhead in power-gated routers is significant. In this paper, we present SMART, a Scalable Mapping And Routing Technique, with virtually no area overhead on the network. It improves the irregularity of the timing behavior of packets in order to mitigate... 

    The Universality Classes of the KPZ Equation

    , M.Sc. Thesis Sharif University of Technology Balouchi, Ashkan (Author) ; Rouhani, Shahin (Supervisor)
    Abstract
    Kardar-Parisi-Zhang (KPZ) equation was first proposed as a model to explain surface growth. This equation is very similar to Edwards-Wilkinson (EW) equation that is used to study scaling phenomena in non-equilibrium systems and phase transition. Although EW’s universality classes have been known well, it still remains a problem for KPZ. In the present thesis, in addition to a general review on surface growth models and a study on properties of rough surfaces, we study the physics of the KPZ model and the other related physical models. Also, using numerical results (like SLE method), renormalization group results and simulation, we study the dynamic and roughness exponents (α, z), and the... 

    Hierarchical Optical Network-on-Chip Based on Hypercube Topology

    , M.Sc. Thesis Sharif University of Technology Abdollahi, Meisam (Author) ; Hesabi, Shahin (Supervisor)
    Abstract
    According to prediction of ITRS, power consumption and bandwidth of processors' interconnection, will be the most major bottleneck of the System-on- Chips (SoCs) in the future. Therefore, in MultiProcessor System-on-Chip (MPSoC) architectures, the design constraints will be altered from "Computational Constraints" to "Communicational Constraints". There are three kinds of communications in the surface of the chip: Global, median and local. The main difference between global and local connections is that the length of latter one will be changed with technology. In other words, it is scalable like processor's elements while the length of global connections is practically constant. Even though... 

    Modified WK-Recursive Topology for an Optical Network-on-Chip

    , M.Sc. Thesis Sharif University of Technology Mahdavian, Hojjat (Author) ; Hesabi, Shahin (Supervisor)
    Abstract
    Nowadays, a large proportion of the power consumption in high-performance multi-processor architectures on chip belongs to connections. Reducing power consumption while maintaining high efficiency in these architectures is one of the main concerns. Networks on Chip (NoC) originally were introduced to improve efficiency, but now, given the importance of power, we must provide some solutions to reduce power consumption, and delay in NOCs. Connections in chip can be divided into three categories: global, intermediate and local, while the length of global connections is almost constant in different scales, local connections are scalable. As a result improving efficiency of a small number of... 

    AdS3/CFT2 In The Presence of N=1 Supergravity

    , M.Sc. Thesis Sharif University of Technology Fattahi, Mohammad (Author) ; Rouhani, Shahin (Supervisor)
    Abstract
    Brown and Henneaux showed that asymptotic symmetries of asymptotically form a conformal group in two dimensions [1]. Also they could derive classical central charge of theory. Fourteen years later, Maldacena, conjectured that this Holographic correspondence could be true for a specific theory a simplified chromodynamics in the boundary of ), this holographic theory attracted physicist communitee's attention. Many physicists tried to make this conjecture more precise. It is worth to say that, no example of holographic correspondence has been completely proven, till now, Because of the difficulty of the calculation. However these days we consider Madacena conjectrure in any arbitrary... 

    Analysis of Off-Critical Percolation Clusters by Schramm-Loewner Evolution

    , M.Sc. Thesis Sharif University of Technology Jamali, Tayyeb (Author) ; Rouhani, Shahin (Supervisor)
    Abstract
    Recently, a new tool in the study of two-dimensional continuous phase transition was provided by Schramm-Loewner evolution. The main part of SLE is a conformal map which relates growth process of a two-dimensional simple curve to one-dimensional motion on the real axis (so-called Loewner driving function). Time evolution of this map and Loewner driving function is connected via the Loewner differential equation. It turns out that for a certain class of stochastic and conformally invariant curves in two dimensions, the driving function shows Brownian motion in one dimension. Strength point of SLE comes from this fact that all the geometrical properties of such curves is described through a... 

    Architecture of Reconfigurable Optical Network-on-Chip

    , M.Sc. Thesis Sharif University of Technology Falahati, Hajar (Author) ; Hesabi, Shahin (Supervisor)
    Abstract
    According to power limitation on a chip and the need to simultaneously access high utilization and low power consumption, Multi-Processor System-on-Chip (MPSoC) architectures have been introduced. The major part of power consumption in a network on chip belongs to interconnects. One of the most important issues is to decrease power consumption while maintaining high utilization. The ability of optical interconnects in decreasing power consumption and increasing utilization has introduced a new architecture called optical network on chip. This architecture uses the benefits of optical signals and elements in order to transfer data. In this thesis, we introduce a new architecture with...