Loading...
Search for: single-event-upsets
0.003 seconds

    A low-overhead integrated aging and SEU sensor

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 18, Issue 2 , 2018 , Pages 205-213 ; 15304388 (ISSN) Rohbani, N ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Aging has become a critical CMOS reliability issue in nanoscales. In general, the aging effect is exhibited as an increase in the delay of the combinational parts and robustness degradation of memory structures. To monitor the aging state of the combinational parts, this paper proposes an aging sensor that is combined with the flip-flops of a chip. The function of this sensor is based on monitoring the stability violation of the critical path output, before the rising edge of the clock signal. The precision of the proposed sensor is about 2.7 × of the most accurate previously presented aging sensors. This is achieved by almost 33% less area overhead compared with state-of-the-art aging... 

    Feedback redundancy: A power efficient SEU-tolerant latch design for deep sub-micron technologies

    , Article 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007, Edinburgh, 25 June 2007 through 28 June 2007 ; 2007 , Pages 276-285 ; 0769528554 (ISBN); 9780769528557 (ISBN) Fazeli, M ; Patooghy, A ; Miremadi, S. G ; Ejlali, A ; Sharif University of Technology
    2007
    Abstract
    The continuous decrease in CMOS technology feature size increases the susceptibility of such circuits to single event upsets (SEU) caused by the impact of particle strikes on system flip flops. This paper presents a novel SEU-tolerant latch where redundant feedback lines are used to mask the effects of SEUs. The power dissipation, area, reliability, and propagation delay of the presented SEU-tolerant latch are analyzed by SPICE simulations. The results show that this latch consumes about 50% less power and occupies 42% less area than a TMR-latch. However, the reliability and the propagation delay of the proposed latch are still the same as the TMR-latch. the reliability of the proposed latch... 

    A SEU-protected cache memory-based on variable associativity of sets

    , Article Reliability Engineering and System Safety ; Volume 92, Issue 11 , 2007 , Pages 1584-1596 ; 09518320 (ISSN) Zarandi, H. R ; Miremadi, S. G ; Sharif University of Technology
    2007
    Abstract
    SRAM cache memories suffer from single event upset (SEU) faults induced by energetic particles such as neutron and alpha particles. To protect these caches, designers often use error detection and correction codes, which typically provide single-bit error detection and even correction. However, these codes have low error detection capability or incur significant performance penalties. In this paper, a protected cache scheme based on the variable associativity of sets is presented. In this scheme, cache space is divided into sets of different sizes with variable tag field lengths. The other remained bits of tags are used for protecting the tag using a new protection code. This leads to... 

    Energy efficient SEU-tolerance in DVS-enabled real-time systems through information redundancy

    , Article 2005 International Symposium on Low Power Electronics and Design, San Diego, CA, 8 August 2005 through 10 August 2005 ; 2005 , Pages 281-286 ; 15334678 (ISSN) Ejlali, A ; Al-Hashimi, B. M ; Miremadi, S. G ; Schmitz, M. T ; Rosinger, P ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2005
    Abstract
    Concerns about the reliability of real-time embedded systems that employ dynamic voltage scaling has recently been highlighted [1,2,3], focusing on transient-fault-tolerance techniques based on time-redundancy. In this paper we analyze the usage of information redundancy in DVS-enabled systems with the aim of improving both the system tolerance to transient faults as well as the energy consumption. We demonstrate through a case study that it is possible to achieve both higher fault-tolerance and less energy using a combination of information and time redundancy when compared with using time redundancy alone. This even holds despite the impact of the information redundancy hardware overhead... 

    Evaluation of fault-tolerant designs implemented on SRAM-based FPGAs

    , Article Proceedings - 10th IEEE Pacific Rim International Symposium on Dependable Computing, Papeete Tahiti, 3 March 2004 through 5 March 2004 ; 2004 , Pages 327-332 ; 0769520766 (ISBN); 9780769520766 (ISBN) Asadi, G ; Miremadi, S. G ; Zarandi, H. R ; Ejlali, A ; Sharif University of Technology
    2004
    Abstract
    The technology of SRAM-based devices is sensible to Single Event Upsets (SEUs) that may be induced mainly by high energy heavy ions and neutrons. This paper presents a framework for the evaluation of fault-tolerant designs implemented on SRAM-based FPGAs using emulated SEUs. The SEU injection process is performed by inserting emulated SEUs in the device using its configuration bitstream file. An Altera FPGA, i.e. the Flex10K200, and the ITC'99 benchmark circuits are used to experimentally evaluate the method. The results show that between 32 to 45 percent of SEUs injected to the device propagate to the output terminals of the device  

    Soft error rate estimation for combinational logic in presence of single event multiple transients

    , Article Journal of Circuits, Systems and Computers ; Vol. 23, issue. 6 , 2014 Rajaei, R ; Tabandeh, M ; Fazeli, M ; Sharif University of Technology
    Abstract
    Fast and accurate estimation of soft error rate in VLSI circuits is an essential step in a soft error tolerant ASIC design. In order to have a cost effective protection against radiation effects in combinational logics, an accurate and fast method for identification of most susceptive gates and paths is needed. In this paper, an efficient, fast and accurate method for soft error propagation probability (SEPP) estimation is presented and its performance is evaluated. This method takes into account all three masking factors in multi cycles. It also considers multiple event transients as a new challenge in soft error tolerant VLSI circuit design. Compared with Monte Carlo (MC) simulation-based... 

    Design of robust SRAM cells against single-event multiple effects for nanometer technologies

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 15, Issue 3 , 2015 , Pages 429-436 ; 15304388 (ISSN) Rajaei, R ; Asgari, B ; Tabandeh, M ; Fazeli, M ; Sharif University of Technology
    Abstract
    As technology size scales down toward lower two-digit nanometer dimensions, sensitivity of CMOS circuits to radiation effects increases. Static random access memory cells (SRAMs) that are mostly employed as high-performance and high-density memory cells are prone to radiation-induced single-event upsets. Therefore, designing reliable SRAM cells has always been a serious challenge. In this paper, we propose two novel SRAM cells, namely, RHD11 and RHD13, that provide more attractive features than their latest proposed counterparts. Simulation results show that our proposed SRAM cells as compared with some state-of-the-art designs have considerably higher robustness against single-event... 

    Single event multiple upset-tolerant SRAM cell designs for nano-scale CMOS technology

    , Article Turkish Journal of Electrical Engineering and Computer Sciences ; Volume 25, Issue 2 , 2017 , Pages 1035-1047 ; 13000632 (ISSN) Rajaei, R ; Asgari, B ; Tabandeh, M ; Fazeli, M ; Sharif University of Technology
    Turkiye Klinikleri Journal of Medical Sciences  2017
    Abstract
    In this article, two soft error tolerant SRAM cells, the so-called RATF1 and RATF2, are proposed and evaluated. The proposed radiation hardened SRAM cells are capable of fully tolerating single event upsets (SEUs). Moreover, they show a high degree of robustness against single event multiple upsets (SEMUs). Over the previous SRAM cells, RATF1 and RATF2 offer lower area and power overhead. The Hspice simulation results through comparison with some prominent and state-of-the-art soft error tolerant SRAM cells show that our proposed robust SRAM cells have smaller area overhead (RAFT1 offers 58% smaller area than DICE), lower power delay product (RATF1 offers 231.33% and RATF2 offers 74.75%... 

    A fast, flexible, and easy-to-develop FPGA-based fault injection technique

    , Article Microelectronics Reliability ; Volume 54, Issue 5 , May , 2014 , Pages 1000-1008 ; ISSN: 00262714 Ebrahimi, M ; Mohammadi, A ; Ejlali, A ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    By technology down scaling in nowadays digital circuits, their sensitivity to radiation effects increases, making the occurrence of soft errors more probable. As a consequence, soft error rate estimation of complex circuits such as processors is becoming an important issue in safety- and mission-critical applications. Fault injection is a well-known and widely used approach for soft error rate estimation. Development of previous FPGA-based fault injection techniques is very time consuming mainly because they do not adequately exploit supplementary FPGA tools. This paper proposes an easy-to-develop and flexible FPGA-based fault injection technique. This technique utilizes debugging facilities... 

    Single event upset immune latch circuit design using C-element

    , Article Proceedings of International Conference on ASIC, 25 October 2011 through 28 October 2011, Xiamen ; 2011 , Pages 252-255 ; 21627541 (ISSN) ; 9781612841908 (ISBN) Rajaei, R ; Tabandeh, M ; Sharif University of Technology
    2011
    Abstract
    Downscaling trend in CMOS technology on the one hand and reducing supply voltage of the circuits on the other hand, make devices more susceptive to soft errors such as SEU. Latch circuits are prone to be affected by SEUs. In this article, we propose a new circuit design of latch using redundancy with the aim of immunity against SEUs. According to simulation results, our design not only guaranties full immunity, but also has the advantage of occupying less area and consuming much less power and performance penalty in comparison with other SEU immune latches. The simulation results show that our solution has 65.76% reduction in power and about 50.65% reduction in propagation delay in... 

    ScTMR: A scan chain-based error recovery technique for TMR systems in safety-critical applications

    , Article Proceedings -Design, Automation and Test in Europe, DATE, 14 March 2011 through 18 March 2011 ; March , 2011 , Pages 289-292 ; 15301591 (ISSN) ; 9783981080179 (ISBN) Ebrahimi, M ; Miremadi, S. G ; Asadi, H ; Sharif University of Technology
    2011
    Abstract
    We propose a roll-forward error recovery technique based on multiple scan chains for TMR systems, called Scan chained TMR (ScTMR). ScTMR reuses the scan chain flip-flops employed for testability purposes to restore the correct state of a TMR system in the presence of transient or permanent errors. In the proposed ScTMR technique, we present a voter circuitry to locate the faulty module and a controller circuitry to restore the system to the fault-free state. As a case study, we have implemented the proposed ScTMR technique on an embedded processor, suited for safety-critical applications. Exhaustive fault injection experiments reveal that the proposed architecture has the error detection and... 

    Soft error modeling and remediation techniques in ASIC designs

    , Article Microelectronics Journal ; Volume 41, Issue 8 , August , 2010 , Pages 506-522 ; 00262692 (ISSN) Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    2010
    Abstract
    Soft errors due to cosmic radiations are the main reliability threat during lifetime operation of digital systems. Fast and accurate estimation of soft error rate (SER) is essential in obtaining the reliability parameters of a digital system in order to balance reliability, performance, and cost of the system. Previous techniques for SER estimation are mainly based on fault injection and random simulations. In this paper, we present an analytical SER modeling technique for ASIC designs that can significantly reduce SER estimation time while achieving very high accuracy. This technique can be used for both combinational and sequential circuits. We also present an approach to obtain... 

    Combined time and information redundancy for SEU-tolerance in energy-efficient real-time systems

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 14, Issue 4 , 2006 , Pages 323-335 ; 10638210 (ISSN) Ejlali, A ; Al-Hashimi, B. M ; Schmitz, M. T ; Rosinger, P ; Miremadi, S. G ; Sharif University of Technology
    2006
    Abstract
    Recently, the tradeoff between energy consumption and fault-tolerance in real-time systems has been highlighted. These works have focused on dynamic voltage scaling (DVS) to reduce dynamic energy dissipation and on-time redundancy to achieve transient-fault tolerance. While the time redundancy technique exploits the available slack-time to increase the fault-tolerance by performing recovery executions, DVS exploits slack-time to save energy. Therefore, we believe there is a resource conflict between the time-redundancy technique and DVS. The first aim of this paper is to propose the use of information redundancy to solve this problem. We demonstrate through analytical and experimental... 

    Circuit Level Techniques for Soft Error Mitigation in Combinational and Sequential Parts in Nano-scale CMOS Technology

    , Ph.D. Dissertation Sharif University of Technology Rajaei, Ramin (Author) ; Tabandeh, Mahmoud (Supervisor) ; Fazeli, Mahdi (Co-Advisor)
    Abstract
    CMOS technology has reached two digit nanometer dimensions. This scaling trend improves performance and power consumption on the one hand, and reduces noise margin and circuits reliability on the other. Along with downscaling, sensitivity to radiation induced soft errors is increasing. As CMOS dimensions are shrinking, node capacitance of circuits become smaller. Consequently, particles with smaller charge could induce parasitic voltages in some nodes and result in soft errors. There are more particles with smaller charge than the ones with larger. Therefore, soft error rate is rapidly increasing with technology advances. Single Event Multiple Effects (SEMEs) is a new challenge emerged in... 

    A multi-bit error tolerant register file for a high reliable embedded processor

    , Article 2011 18th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 22011, 11 December 2011 through 14 December 2011 ; December , 2011 , Pages 532-537 ; 9781457718458 (ISBN) Esmaeeli, S ; Hosseini, M ; Vahdat, B. V ; Rashidian, B ; Sharif University of Technology
    2011
    Abstract
    The vulnerability of microprocessors to soft errors is increasing due to continuous shrinking in fabrication process. Recent studies show that 1-5% of the SEUs (single event upset) can cause MBUs (multiple bit upsets). The probability of MBU generation due to SEU is increasing because of the reduction in minimum energy required to flip a memory bit in modern technologies. Register file is the most sensitive component in a microprocessor. In this paper, we present an innovative way to protect registers in a 64-bit register file for a RISC processor using extended Hamming (8, 4) code (SEC-DED code) and narrow-width values. A narrow-width value can be represented by half number of bits of the... 

    Single Event Multiple Upset (SEMU) tolerant latch designs in presence of process and temperature variations

    , Article Journal of Circuits, Systems and Computers ; Volume 24, Issue 1 , January , 2015 ; 02181266 (ISSN) Rajaei, R ; Tabandeh, M ; Fazeli, M ; Sharif University of Technology
    World Scientific Publishing Co. Pte Ltd  2015
    Abstract
    In this paper, we propose two novel soft error tolerant latch circuits namely HRPU and HRUT. The proposed latches are both capable of fully tolerating single event upsets (SEUs). Also, they have the ability of enduring single event multiple upsets (SEMUs). Our simulation results show that, both of our HRPU and HRUT latches have higher robustness against SEMUs as compared with other recently proposed radiation hardened latches. We have also explored the effects of process and temperature variations on different design parameters such as delay and power consumption of our proposed latches and other leading SEU tolerant latches. Our simulation results also show that, compared with the reference... 

    Robust register caching: An energy-efficient circuit-level technique to combat soft errors in embedded processors

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 10, Issue 2 , February , 2010 , Pages 208-221 ; 15304388 (ISSN) Fazeli, M ; Namazi, A ; Miremadi, S. G ; Sharif University of Technology
    2010
    Abstract
    This paper presents a cost-efficient technique to jointly use circuit- and architecture-level techniques to protect an embedded processor's register file against soft errors. The basic idea behind the proposed technique is robust register caching (RRC), which creates a cache of the most vulnerable registers within the register file in a small and highly robust cache memory built from circuit-level single-event-upset-protected memory cells. To guarantee that the most vulnerable registers are always stored in the robust register cache, the average number of read operations during a register's lifetime is used as a metric to guide the cache replacement policy. A register is vulnerable to soft... 

    A novel SET/SEU hardened parallel I/O port

    , Article 2009 IEEE Circuits and Systems International Conference on Testing and Diagnosis, ICTD'09, Chengdu, 28 April 2009 through 29 April 2009 ; 2009 ; 9781424425877 (ISBN) Razmkhah, M. H ; Miremadi, S. G ; Ejlali, A ; Fazeli, M ; Sharif University of Technology
    2009
    Abstract
    The continuous decrease in CMOS technology feature size increases the susceptibility of such circuits to single event transient SET and single event upset SEU, caused by energetic particles striking system wires and flip flops. This paper presents a novel SET/SEU-detection technique for I/O ports where different sampling times used to detect the effects of SET/SEUs. The power dissipation, area, reliability, and propagation delay of the SET/SEU-detection I/O port are analyzed by HSPICE v.X-2005.v9 simulation. The results show that this I/O port can detect all SET/SEUs, by consumption of about 113% more power and occupation of 145% more area than simple I/O port. ©2009 IEEE  

    Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies

    , Article IET Computers and Digital Techniques ; Volume 3, Issue 3 , 2009 , Pages 289-303 ; 17518601 (ISSN) Fazeli, M ; Miremadi, S. G ; Ejlali, A ; Patooghy, A ; Sharif University of Technology
    2009
    Abstract
    Single event upsets (SEUs) and single event transients (SETs) are major reliability concerns in deep submicron technologies. As technology feature size shrinks, digital circuits are becoming more susceptible to SEUs and SETs. A novel SEU/SET-tolerant latch called feedback redundant SEU/SET-tolerant latch (FERST) is presented, where redundant feedback lines are used to mask SEUs and delay elements are used to filter SETs. Detailed SPICE simulations have been done to evaluate the proposed design and compare it with previous latch designs. The results show that the SEU tolerance of the FERST latch is almost equal to that of a TMR latch (a widely used latch which is the most reliable among the...