Loading...
Search for: reconfigurable-architectures
0.005 seconds
Total 27 records

    Evaluation of Granularity of Reconfigurable Architectures for Wireless Communication Applications

    , M.Sc. Thesis Sharif University of Technology Nazarieh, Masoumeh (Author) ; Hemmat yar, Afshin (Supervisor)
    Abstract
    Wireless communication applications need high computing power, flexibility and scalability. Although ASICs have the ability of high computing power but they are not flexible to changing or completing of communication protocols. On the other hand, general purpose microprocessors or DSPs are flexible, but do not provide sufficient computing power. Thus devices with reconfigurable architecture have been proposed as a compromise between ASICs and microperocessors. One of the main features of reconfigurable devices is the granularity. The granularity of a reconfigurable devices shows the amount of computing power incorporate in a processing element. In this thesis we have evaluated different... 

    A Scheme for Detecting both Hardware Trojan Horses and Soft Errors in Reconfigurable Devices

    , M.Sc. Thesis Sharif University of Technology Ranjbar, Omid (Author) ; Bayat Sarmadi, Siavash (Supervisor) ; Asadi, Hossein (Supervisor)
    Abstract
    In recent years, due to various reasons, such as outsourcing, hardware security and trust have become a crucial issue and confrontation with hardware Trojan has become one of the important part of it. Widespread usage of reconfiguration devices in industry, due to various reasons like low cost design and short time to market, makes these devices appealing for inserting hardware Trojan. Additionally, reconfigurable devices are susceptible to soft errors. Inserting a hardware Trojan in a system by an attacker can leak some information or even cause the system to break down. In previous works, in order to detect hardware Trojan, some methods have been proposed which impose high area and... 

    An Efficient Reconfigurable Architecture in Embedded Processors

    , M.Sc. Thesis Sharif University of Technology Tamimi, Sajjad (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    Nowadays, embedded processors are widely used in wide range of domains from low-power to safety-critical applications. By providing prominent features such as variant peripheral support and flexibility to partial or major design modifications, Field-Programmable Gate Arrays (FPGAs) are used in industry for implementing either an entire embedded system or a Hardware Description Language (HDL)-based processor, known as soft-core processor. FPGA-based designs, however, suffer from high power consumption, large die area, and low performance that hinders common use of soft-core processors. In this thesis, we present an efficient reconfigurable architecture to implement embedded processors in... 

    Counter-Measure Against Power Analysis Attacks Using Dual-Rail Logic in Reconfigurable Devices

    , M.Sc. Thesis Sharif University of Technology Kashiri, Jamaladdin (Author) ; Bayat Sarmadi, Siavash (Supervisor) ; Asadi, Hossein (Supervisor)
    Abstract
    Naïve hardware implementation of encryption modules can cause leakage about the secret information. Attackers can use this information to extract the secret key. This approach is known as side channel attack (SCA). An example of such attacks is differential power analysis (DPA), which uses a number of traces of power consumption of the encryption device. One of the proposed methods to deal with such attack is using dual rail logic. In previous implementations based on a dual rail logic, symmetric complementary mapping with the cross coupling and pre-charging is being used. This method has relatively high delay and cost; however, significant increase in the level of security can be achieved.... 

    Reconfigurable Architecture Design for Reverse Protocol Engineering

    , M.Sc. Thesis Sharif University of Technology Shahab Samani, Forough (Author) ; Jahangir, Amir Hossein (Supervisor)

    Efficient processor allocation in a reconfigurable CMP architecture for dark silicon era

    , Article Proceedings of the 34th IEEE International Conference on Computer Design, ICCD 2016, 2 October 2016 through 5 October 2016 ; 2016 , Pages 336-343 ; 9781509051427 (ISBN) Aghaaliakbari, F ; Hoveida, M ; Arjomand, M ; Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    The continuance of Moore's law and failure of Dennard scaling force future chip multiprocessors (CMPs) to have considerable dark regions. How to use up available dark resources is an important concern for computer architects. In harmony with these changes, we must revise processor allocation schemes that severely affect the performance of a parallel on-chip system. A suitable allocation algorithm should reduce runtime and increase the power efficiency with proper thermal distribution to avoid hotspots. With this motivation, this paper proposes a power-efficient and high performance general purpose infrastructure for which a Dark Silicon Aware Processor Allocation (DSAPA) scheme is proposed... 

    Application-based dynamic reconfiguration in optical network-on-chip

    , Article Computers and Electrical Engineering ; Volume 45 , July , 2015 , Pages 417-429 ; 00457906 (ISSN) Falahati, H ; Koohi, S ; Hessabi, S ; Sharif University of Technology
    Elsevier Ltd  2015
    Abstract
    We propose a new optical reconfigurable Network-on-Chip (NoC), named ReFaT ONoC (Reconfigurable Flat and Tree Optical NoC). ReFaT is a dynamically reconfigurable architecture, which customizes the topology and routing paths based on the application characteristics. ReFaT, as an all-optical NoC, routes optical packets based on their wavelengths. For this purpose, we propose a novel architecture for the optical switch, which eliminates the need for optical resource reservation, and thus avoids the corresponding latency and area overheads. As a key idea for dynamic reconfiguration, each application is mapped to a specific set of wavelengths and utilizes its dedicated routing algorithm. We... 

    Design Tradeoffs of SSD Implementations on Reconfigurable Devices and ASICs

    , M.Sc. Thesis Sharif University of Technology Faridmoayer, Reza (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    Solid-State Drives (SSDs) are replaced to a great portion of Hard Disk Drives (HDDs) in personal computers, servers, and supercomputers due to their high reliability, shock resistance, low power consumption, and high performance. SSDs utilize either NAND or NOR flash chips in the drive backend logic to persistently store user data. Since NAND/NOR flash chips incur from limited number of write endurance and high write/erase operation latency, they use a controller called Flash Translation Layer (FTL) to alleviate these limitations. As the performance of commercially off-the-shelf (COTS) SSDs is tuned for few mainstream applications, COTS SSDs provide limited throughput for variety of... 

    An Efficient Reconfigurable Architecture Based on Most Frequent Logic Functions

    , M.Sc. Thesis Sharif University of Technology Ahmadpour Yasouri, Iman (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    Reconfigurable devices are a popular platform invarious computational fields due to having high performance and flexibility and low non-recurring engineering cost. Generous flexibility of Look-up Tables (LUTs) in implementing arbitrary functions comes withsignificant area and performance overheads as compared with their Application Specific Integrated Circuit (ASIC) equivalent. One approach to alleviate such overheads is to use less flexible logic elements capable to implement majority of logic functions. In this thesis, we first investigate the most frequently used functions in standard benchmarks and then design a set of less-flexible but area-efficient logic cells, called Hard Logics... 

    A Reconfigurable and Adaptive Shared-memory Architecture for GPUs

    , M.Sc. Thesis Sharif University of Technology Abbasitabar, Hamed (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    The importance of shared memory (scratchpad memory) in GPGPU programming, the memory size limits of GPGPUs and the influence of shared memory on overall performance of the GPGPU has led to its performance optimization. Moreover, the trend of new GPGPUs design shows that the ratio of shared memory to processing elements is going smaller. As a result, the limited capacity of shared memory becomes a bottleneck for a GPU to host a high number of thread blocks, limiting the otherwise available thread-level parallelism (TLP). In this thesis we introduced a reconfigurable and adaptive shared memory architecture for GPGPUs based on resource sharing which can be exploited for throughput improvement... 

    Accelerating Perfect and Imperfect Loops Using Reconfigurable Architectures

    , M.Sc. Thesis Sharif University of Technology Tanhaee, Effat (Author) ; Hesabi, Shahin (Supervisor)
    Abstract
    With the widespread use of mobile applications, multimedia and telecommunications, speed of execution has become important. The computation-intensive portions of applications, i.e., loops, devote a significant percentage of their implementation time. Thus, in this thesis, a new method is introduced which greatly increases the execution speed of the loops. Loops are often implemented on coarse-grained reconfiguration architecture (CGRAs) for acceleration, which is a promising architecture with high performance and high power efficiency in comparison to FPGA. In this regard, to reduce the execution time of two-level nested loops, if there are several innermost loops, first, we fuse them, then... 

    Towards dark silicon era in FPGAs using complementary hard logic design

    , Article Conference Digest - 24th International Conference on Field Programmable Logic and Applications, FPL 2014 ; Sept , 2014 , pp. 1 - 6 ; ISBN: 9783000446450 Ahari, A ; Khaleghi, B ; Ebrahimi, Z ; Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    While the transistor density continues to grow exponentially in Field-Programmable Gate Arrays (FPGAs), the increased leakage current of CMOS transistors act as a power wall for the aggressive integration of transistors in a single die. One recently trend to alleviate the power wall in FPGAs is to turn off inactive regions of the silicon die, referred to as dark silicon. This paper presents a reconfigurable architecture to enable effective fine-grained power gating of unused Logic Blocks (LBs) in FPGAs. In the proposed architecture, the traditional soft logic is replaced with Mega Cells (MCs), each consists of a set of complementary Generic Reconfigurable Hard Logic (GRHL) and a conventional... 

    Emerging non-volatile memory technologies for future low power reconfigurable systems

    , Article 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, ReCoSoC ; 26-28 May , 2014 , pp. 1-2 ; 9781479958108 Ahari, A ; Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    Non-volatile memory (NVM) technologies are promising alternatives to traditional CMOS memory technologies. While NVMs were primarily studied to be used in the memory hierarchy, they can also provide benefits in reconfigurable systems such as Field-Programmable Gate Arrays (FPGAs). In this paper, we investigate the applicability of different NVM technologies for the configuration bits of FPGAs and propose a power-efficient reconfigurable architecture based on Phase Change Memory (PCM). Quantitative analysis for various FPGA architectures using different memory technologies shows the benefits of the proposed scheme  

    A power-efficient reconfigurable architecture using PCM configuration technology

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2014 Ahari, A ; Asadi, H ; Khaleghi, B ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    Promising advantages offered by resistive NonVolatile Memories (NVMs) have brought great attention to replace existing volatile memory technologies. While NVMs were primarily studied to be used in the memory hierarchy, they can also provide benefits in Field-Programmable Gate Arrays (FPGAs). One major limitation of employing NVMs in FPGAs is significant power and area overheads imposed by the Peripheral Circuitry (PC) of NVM configuration bits. In this paper, we investigate the applicability of different NVM technologies for configuration bits of FPGAs and propose a power-efficient reconfigurable architecture based on Phase Change Memory (PCM). The proposed PCM-based architecture has been... 

    HAFTA: Highly available fault-tolerant architecture to protect SRAM-based reconfigurable devices against multiple bit upsets

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 13, Issue 1 , November , 2013 , Pages 203-212 ; 15304388 (ISSN) Ghaderi, Z ; Miremadi, S. G ; Asadi, H ; Fazeli, M ; Sharif University of Technology
    2013
    Abstract
    Despite widespread use of SRAM-based reconfigurable devices (SRDs) in mainstream applications, their usage has been very limited in enterprise and safety-critical applications due to SRAM susceptibility to soft errors. Previous mitigation techniques to protect SRDs impose significant area and power overheads. Additionally, they suffer from susceptibility of configuration bits to multiple bit upsets (MBUs). In this paper, we present a highly available fault-tolerant architecture to protect SRD-based designs against MBUs in both configuration and user bits. In the proposed architecture, the entire design is duplicated with respect to the relative locations of logic blocks within the SRD and... 

    Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

    , Article Microprocessors and Microsystems ; April , 2016 ; 01419331 (ISSN) Mehrvarzy, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2016
    Abstract
    Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the... 

    An efficient reconfigurable architecture by characterizing most frequent logic functions

    , Article 25th International Conference on Field Programmable Logic and Applications, FPL 2015, 2 September 2015 through 4 September 2015 ; Sept , 2015 , Page(s): 1 - 6 ; 9780993428005 (ISBN) Ahmadpour, I ; Khaleghi, B ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Generous flexibility of Look-Up Tables (LUTs) in implementing arbitrary functions comes with significant performance and area overheads compared with their Application-Specific Integrated Circuit (ASIC) equivalent. One approach to alleviate such overheads is to use less flexible logic elements capable to implement majority of logic functions. In this paper, we first investigate the most frequently used functions in standard benchmarks and then design a set of less-flexible but area-efficient logic cells, called Hard Logics (HL). Since higher input functions have diverse classes, we leverage Shannon decomposition to break them into smaller ones to either reduce the HL design space complexity... 

    An efficient dynamically reconfigurable on-chip network architecture

    , Article Proceedings - Design Automation Conference, 13 June 2010 through 18 June 2010 ; June , 2010 , Pages 166-169 ; 0738100X (ISSN) ; 9781450300025 (ISBN) Modarressi, M ; Sarbazi Azad, H ; Tavakkol, A ; Sharif University of Technology
    Abstract
    In this paper, we present a reconfigurable architecture for NoCs on which arbitrary application-specific topologies can be implemented. The proposed NoC can dynamically tailor its topology to the traffic pattern of different applications at run-time. The run-time topology construction mechanism involves monitoring the network traffic and changing the inter-node connections in order to reduce the number of intermediate routers between the source and destination nodes of heavy communication flows. This mechanism should also preserve the NoC connectivity. In this paper, we first introduce the proposed reconfigurable topology and then address the problem of run-time topology reconfiguration.... 

    Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

    , Article Microprocessors and Microsystems ; Volume 46 , 2016 , Pages 122-135 ; 01419331 (ISSN) Mehrvarzy, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier B.V  2016
    Abstract
    Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the... 

    ReCA: An efficient reconfigurable cache architecture for storage systems with online workload characterization

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 29, Issue 7 , 2018 , Pages 1605-1620 ; 10459219 (ISSN) Salkhordeh, R ; Ebrahimi, S ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    In recent years, Solid-State Drives (SSDs) have gained tremendous attention in computing and storage systems due to significant performance improvement over Hard Disk Drives (HDDs). The cost per capacity of SSDs, however, prevents them from entirely replacing HDDs in such systems. One approach to effectively take advantage of SSDs is to use them as a caching layer to store performance critical data blocks in order to reduce the number of accesses to HDD-based disk subsystem. Due to characteristics of Flash-based SSDs such as limited write endurance and long latency on write operations, employing caching algorithms at the Operating System (OS) level necessitates to take such characteristics...