Loading...
Search for: read-operation
0.015 seconds

    Reducing access latency of MLC PCMs through line striping

    , Article Proceedings - International Symposium on Computer Architecture ; Article number 6853228 , 14-18 June , 2014 , p. 277-288 ; ISSN: 10636897 ; ISBN: 9781479943968 Hoseinzadeh, M ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Although phase change memory with multi-bit storage capability (known as MLC PCM) offers a good combination of high bit-density and non-volatility, its performance is severely impacted by the increased read/write latency. Regarding read operation, access latency increases almost linearly with respect to cell density (the number of bits stored in a cell). Since reads are latency critical, they can seriously impact system performance. This paper alleviates the problem of slow reads in the MLC PCM by exploiting a fundamental property of MLC devices: the Most-Significant Bit (MSB) of MLC cells can be read as fast as SLC cells, while reading the Least-Significant Bits (LSBs) is slower. We propose... 

    3RSeT: Read disturbance rate reduction in STT-mram caches by selective tag comparison

    , Article IEEE Transactions on Computers ; 2021 ; 00189340 (ISSN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Recent development in memory technologies has introduced Spin-Transfer Torque Magnetic RAM (STT-MRAM) as the most promising replacement for SRAMs in on-chip cache memories. Besides its lower leakage power, higher density, immunity to radiation-induced particles, and non-volatility, an unintentional bit flip during read operation, referred to as read disturbance error, is a severe reliability challenge in STT-MRAM caches. One major source of read disturbance error in STT-MRAM caches is simultaneous accesses to all tags for parallel comparison operation in a cache set, which has not been addressed in previous work. This paper first demonstrates that high read accesses to tag arrays extremely... 

    A subthreshold symmetric SRAM cell with high read stability

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; Vol. 61, issue. 1 , Jan , 2014 , p. 26-30 ; 15497747 Saeidi, R ; Sharifkhani, M ; Hajsadeghi, K ; Sharif University of Technology
    Abstract
    This brief introduces a differential eight-transistor static random access memory (SRAM) cell for subthreshold SRAM applications. The symmetric topology offers a smaller area overhead compared with other symmetric cells for the same stability in the read operation. Two transistors isolate the cell storage nodes from the read operation path to maintain the data stability of the cell. This topology improves the data stability at the expense of read operation delay. Thorough postlayout Monte Carlo worst corner simulations in 45-nm CMOS technology are conducted. The proposed cell operates down to 0.35 V with a read noise margin of 74 mV and a write noise margin of 92 mV. Under this condition,... 

    A subthreshold dynamic read SRAM (DRSRAM) based on dynamic stability criteria

    , Article Proceedings - IEEE International Symposium on Circuits and Systems ; 2011 , Pages 61-64 ; 02714310 (ISSN) ; 9781424494736 (ISBN) Saeidi, R ; Sharifkhani, M ; Hajsadeghi, K ; Sharif University of Technology
    Abstract
    This paper introduces a Dynamic Read SRAM (DRSRAM) architecture for high-density subthreshold RAM applications. DRSRAM performs a dynamic read operation to overcome the poor stability and bitline leakage problem of 6T SRAM cell in sub-threshold region. It is shown that there is fundamental limit for wordline activation time and recovery time under a given cell mismatch and bitline leakage. To verify the proposed technique, a 64128 bit array of the 6T bit-cell is simulated in 90 nm CMOS technology. The simulation results show a 100% noise margin enhancement at subthreshold region. This design operates down to 300 mV at a 1 MHz clock rate with noise margins as large as 72 mV. This design... 

    A nanoscale CMOS SRAM cell for high speed applications

    , Article 5th International Conference on MEMS NANO, and Smart Systems, ICMENS 2009, 28 December 2009 through 30 December 2009, Dubai ; 2010 , Pages 33-36 ; 9780769539386 (ISBN) Azizi Mazreah, A ; Manzuri Shalmani, M. T ; Mehrparvar, A ; Sharif University of Technology
    2010
    Abstract
    The leakage current and process variation are drastically increased with technology scaling. In Conventional SRAM cell due to process variations, stored data can be destroyed during read operation. Therefore, leakage current of SRAM cell and stability during read operation are two important parameters in nano-scaled CMOS technology. To overcome these limitations and to increase the speed of conventional SRAMs, we have developed a read-static-noise-margin-free SRAM cell. The developed cell has six-transistors and uses two read/write-lines and two read/write-bit-lines during read/write operation. This cell retains its data with leakage current and positive feedback without refresh cycle. The... 

    Robust register caching: An energy-efficient circuit-level technique to combat soft errors in embedded processors

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 10, Issue 2 , February , 2010 , Pages 208-221 ; 15304388 (ISSN) Fazeli, M ; Namazi, A ; Miremadi, S. G ; Sharif University of Technology
    2010
    Abstract
    This paper presents a cost-efficient technique to jointly use circuit- and architecture-level techniques to protect an embedded processor's register file against soft errors. The basic idea behind the proposed technique is robust register caching (RRC), which creates a cache of the most vulnerable registers within the register file in a small and highly robust cache memory built from circuit-level single-event-upset-protected memory cells. To guarantee that the most vulnerable registers are always stored in the robust register cache, the average number of read operations during a register's lifetime is used as a metric to guide the cache replacement policy. A register is vulnerable to soft... 

    A robust and low-power near-threshold SRAM in 10-nm FinFET technology

    , Article Analog Integrated Circuits and Signal Processing ; Volume 94, Issue 3 , 2018 , Pages 497-506 ; 09251030 (ISSN) Sayyah Ensan, S ; Moaiyeri, M. H ; Hessabi, S ; Sharif University of Technology
    Springer New York LLC  2018
    Abstract
    This paper presents a robust and low-power single-ended robust 11T near-threshold SRAM cell in 10-nm FinFET technology. The proposed cell eliminates write disturbance and enhances write performance by disconnecting the path between cross-coupled inverters during the write operation. FinFETs suffer from width quantization, and SRAM performance is highly dependent to transistors sizing. The proposed structure with minimum sized tri-gate FinFETs operates without failure under major process variations. In addition, read disturbance is reduced by isolating the storage nodes during the read operations. To reduce power consumption this cell uses only one bit-line for both read and write operations.... 

    An energy efficient circuit level technique to protect register file from MBUs and SETs in embedded processors

    , Article Proceedings of the International Conference on Dependable Systems and Networks, 29 June 2009 through 2 July 2009, Lisbon ; 2009 , Pages 195-204 ; 9781424444212 (ISBN) Fazeli, M ; Namazi, A ; Miremadi, S.G ; Sharif University of Technology
    2009
    Abstract
    This paper presents a circuit level soft error-tolerant-technique, called RRC (Robust Register Caching), for the register file of embedded processors. The basic idea behind the RRC is to effectively cache the most vulnerable registers in a small highly robust register cache built by circuit level SEU and SET protected memory cells. To decide which cache entry should be replaced, the average number of read operations during a register ACE time is used as a criterion to judge. In fact, the victim cache entry is one which has the maximum read count. To minimize the power overhead of the RRC, the clock gating technique is efficiently exploited for the main register file resulting in... 

    A low power SRAM based on five transistors cell

    , Article 13th International Computer Society of Iran Computer Conference on Advances in Computer Science and Engineering, CSICC 2008, Kish Island, 9 March 2008 through 11 March 2008 ; Volume 6 CCIS , 2008 , Pages 679-688 ; 18650929 (ISSN); 3540899847 (ISBN); 9783540899846 (ISBN) Azizi Mazreah, A ; Manzuri Shalmani, M. T ; Sharif University of Technology
    2008
    Abstract
    This paper proposes a low power SRAM based on five transistor SRAM cell. Proposed SRAM uses novel word-line decoding such that, during a read/write operation, only selected cell is connected to bit-line when one row is selected whereas, in conventional SRAM (CV-SRAM), all cells in selected row connected to their bit-lines, which in turn develops differential voltages across all bit-lines, and this makes energy consumption on unselected bit-lines. Proposed SRAM uses one bit-line and thus has lower bit-line leakage compared to CV-SRAM. Furthermore, the proposed SRAM incurs no area overhead, and has comparable read/write performance versus the CV-SRAM. Simulation results in standard 0.25μm CMOS... 

    3RSeT: Read disturbance rate reduction in STT-MRAM caches by selective tag comparison

    , Article IEEE Transactions on Computers ; Volume 71, Issue 6 , 2022 , Pages 1305-1319 ; 00189340 (ISSN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Recent development in memory technologies has introduced Spin-Transfer Torque Magnetic RAM (STT-MRAM) as the most promising replacement for SRAMs in on-chip cache memories. Besides its lower leakage power, higher density, immunity to radiation-induced particles, and non-volatility, an unintentional bit flip during read operation, referred to as read disturbance error, is a severe reliability challenge in STT-MRAM caches. One major source of read disturbance error in STT-MRAM caches is simultaneous accesses to all tags for parallel comparison operation in a cache set, which has not been addressed in previous work. This article first demonstrates that high read accesses to tag array extremely...