Loading...
Search for: power-efficiency
0.007 seconds
Total 36 records

    Mathematical analysis of optimal tracking interval management for power efficient target tracking wireless sensor networks

    , Article Iranian Journal of Electrical and Electronic Engineering ; Volume 8, Issue 3 , 2012 , Pages 195-205 ; 17352827 (ISSN) Jamali-Rad, H ; Abolhassani, B ; Abdizadeh, M ; Sharif University of Technology
    2012
    Abstract
    We study the problem of power efficient tracking interval management for distributed target tracking wireless sensor networks (WSNs). We first analyze the performance of a distributed target tracking network with one moving object, using a quantitative mathematical analysis. We show that previously proposed algorithms are efficient only for constant average velocity objects; however, they do not ensure an optimal performance for moving objects with acceleration. Towards an optimal performance, first, we derive a closed-form mathematical expression for the estimation of the minimal achievable power consumption by an optimal adaptive tracking interval management algorithm. This can be used as... 

    A power-efficient LC quadrature VCO for RFID, zigbee and bluetooth standards

    , Article Advances in Electrical and Computer Engineering ; Volume 9, Issue 3 , 2009 , Pages 34-38 ; 15827445 (ISSN) Torkzadeh, P ; Atarodi, M ; Sharif University of Technology
    2009
    Abstract
    A multi-band CMOS LC Quadrature Voltage Control Oscillator (QVCO) with minimum power consumption is developed to meet the phase noise and frequency band requirements of RFID, Zigbee and Bluetooth standards. To accomplish the multi-band receiving architecture at low power consumption, current switching technique with optimized cross-coupled transistor sizes has been used. A comprehensive analysis of small signal model for complementary architecture including transistor noise sources and their effects on output phase noise amount has been discussed. Using extracted small signal model, coupled and coupling transistor sizes for minimum power consumption and the least achievable phase noise have... 

    OMUX: Optical multicast and unicast-capable interconnection network for data centers

    , Article Optical Switching and Networking ; Volume 33 , 2019 , Pages 1-12 ; 15734277 (ISSN) Nezhadi, A ; Koohi, S ; Sharif University of Technology
    Elsevier B.V  2019
    Abstract
    Exponential growth of traffic and bandwidth demands in current data center networks requires low-latency high-throughput interconnection networks, considering power consumption. By considering growth of both multicast and unicast applications, power efficient communication becomes one of the main design challenges in today's data center networks. Addressing these demands, optical networks suggest several benefits as well as circumventing most disadvantages of electrical networks. In this paper, we propose an all-optical scalable architecture, named as OMUX, for communicating intra-data centers. This architecture utilizes passive optical devices and enables optical circuit switching without... 

    A new approach for AC state estimation based on a linear network model

    , Article ELECO 2013 - 8th International Conference on Electrical and Electronics Engineering ; 2013 , Pages 117-121 ; 9786050105049 (ISBN) Safdarian, A ; Fotuhi Firuzabad, M ; Aminifar, F ; Lehtonen, M ; Ozdemir, A ; Sharif University of Technology
    Abstract
    This paper presents a new and efficient formulation for the state estimation (SE) which can be solved in one single shot of computation. The proposed approach adopts line flows and the square of voltage magnitudes as the problem state variable and considers both active and reactive power quantities. The objective function is to minimize the weighted sum of the least square values of measurement residuals. The nonlinearity associated with line losses is left by assuming these values as slack variables. Numerical studies are conducted through two standard networks. In order to compare the performance of the proposed method, the conventional weighted least square (WLS) state estimation with... 

    Process-Variation-Aware Configuration Selection of Configurable MPSOC for Power-Yield Maximization

    , M.Sc. Thesis Sharif University of Technology Izadyar, Hamideh (Author) ; Goudarzi, Maziar (Supervisor)
    Abstract
    Process Variation is seen as statistical variations in leakage current and delay of transistors in nano-scale technologies. The amount of process variations increase as the size of transistors decrease by technology scaling such that those effects can be seen in frequency of MPSoC (Multi-Processor System-on-Chip) cores and their leakage power deviation. These variations cause the tasks duration and power consumption fluctuate in different processors in an MPSoC instance. Consequently, some chip instances of the same MPSoC may consume more time and power than their considered limitations. Hence considering the process variation is necessary and required for MPSoC optimization at different... 

    TTCN: A new approach for low-power split-row LDPC decoders

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 24 May 2015 through 27 May 2015 ; Volume 2015-July , 2015 , Pages 2001-2004 ; 02714310 (ISSN) ; 9781479983919 (ISBN) Shahrad, M ; Shabany, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Split-Row technique is proved to be one of the most effective methods to reduce the routing complexity of fully-parallel LDPC decoders. This technique is based on the idea of splitting each check node processor to multiple smaller processors. This paper introduces a new method, to increase the power-efficiency of Split-Row LDPC decoders. The proposed method is called trust to the truthful check node (TTCN), enabling the decoder to only depend on a portion of check node processors at specific decoding iterations. This leads to an average reduction of 30%-40% in the check node dynamic power consumption. This is achieved by means of trust to a minority of check node processors and gating the... 

    Secrecy capacity in large cooperative networks in presence of eavesdroppers with unknown locations

    , Article 2016 Iran Workshop on Communication and Information Theory, IWCIT 2016, 3 May 2016 through 4 May 2016 ; 2016 ; 9781509019229 (ISBN) Hadavi, A. H ; Kazempour, N ; Mirmohseni, M ; Aref, M. R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    In this paper, an extended large wireless network, with a single transmitter-receiver pair, under the secrecy constraint is considered. In contrast to works which use idealized assumptions, a more realistic network situation with unknown eavesdroppers locations is investigated: the legitimate users only know their own Channel State Information (CSI), not the eavesdroppers CSI. Also, the network is analyzed by taking in to account the effects of both fading and path loss. Under these assumptions, a power efficient cooperative scheme, named stochastic virtual beamforming, is proposed. Applying this scheme, any desired pair of secure rate and outage level denoted by (Rs, ϵ) will be achievable... 

    ARMOR: A reliable and mobility-aware RPL for mobile internet of things infrastructures

    , Article IEEE Internet of Things Journal ; Volume 9, Issue 2 , 2022 , Pages 1503-1516 ; 23274662 (ISSN) Mohammadsalehi, A ; Safaei, B ; Monazzah, A. M. H ; Bauer, L ; Henkel, J ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    Mobile portable embedded devices are becoming an integral part of our daily activities in the vision of Internet of Things (IoT). Nevertheless, due to lack of mobility support in the IPv6 routing protocol for low-power and lossy networks (RPLs), which is standardized for multihop IoT infrastructures, providing reliable communications in terms of packet delivery ratio (PDR) in mobile IoT applications has become significantly challenging. While several studies tried to enhance the adaptability of RPL to network dynamics, their utilized routing metrics have prevented them from establishing long-lasting reliable paths. Furthermore, the stochastic parent replacement policy in the standard version... 

    Emerging non-volatile memory technologies for future low power reconfigurable systems

    , Article 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, ReCoSoC ; 26-28 May , 2014 , pp. 1-2 ; 9781479958108 Ahari, A ; Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    Non-volatile memory (NVM) technologies are promising alternatives to traditional CMOS memory technologies. While NVMs were primarily studied to be used in the memory hierarchy, they can also provide benefits in reconfigurable systems such as Field-Programmable Gate Arrays (FPGAs). In this paper, we investigate the applicability of different NVM technologies for the configuration bits of FPGAs and propose a power-efficient reconfigurable architecture based on Phase Change Memory (PCM). Quantitative analysis for various FPGA architectures using different memory technologies shows the benefits of the proposed scheme  

    Wide-band high-efficiency Ku-band power amplifier

    , Article IET Circuits, Devices and Systems ; Vol. 8, issue. 6 , December , 2014 , p. 583-592 ; 1751858X Yousefi, A ; Medi, A ; Sharif University of Technology
    Abstract
    A 37 dBm power amplifier (PA) is designed on a 0.25 μm optical T-gate pseudomorphic high electron mobility transistor (pHEMT) technology. The design of this two-stage PA along with a step-by-step design procedure is presented in this paper. This methodology can be used for design of PA in different technologies and frequencies. The PA delivers 5 W output power over the frequency band of 13-19 GHz. It shows average power-added efficiency of 37% and large signal gain of 15 dB in measurements which is consistent with simulation results. The output power and efficiency of the realised amplifier reach maximums of 37.6 dBm and 45%, respectively. Considering output power, bandwidth, chip area and... 

    FARHAD: A Fault-Tolerant Power-Aware Hybrid Adder for add intensive applications

    , Article Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors ; 2013 , Pages 153-159 ; 10636862 (ISSN) ; 9781479904921 (ISBN) Hajkazemi, M. H ; Baniasadi, A ; Asadi, H ; Sharif University of Technology
    2013
    Abstract
    This paper introduces an alternative Fault-Tolerant Power-Aware Hybrid Adder (or simply FARHAD). FARHAD is a highly power efficient protection solution against errors in application with high number of additions. FARHAD, similar to earlier studies, relies on performing add operations twice to detect errors. Unlike previous studies, FARHAD uses an aggressive adder to produce the initial outcome and a low-power adder to generate the second outcome, referred to as the checker. FARHAD uses checkpointing, a feature already available to high-performance processors, to recover from errors. FARHAD achieves the high energy-efficiency of timeredundant solutions and the high performance of... 

    A reliable and power efficient flow-control method to eliminate crosstalk faults in network-on-chips

    , Article Microprocessors and Microsystems ; Volume 35, Issue 8 , 2011 , Pages 766-778 ; 01419331 (ISSN) Patooghy, A ; Miremadi, S. G ; Tabkhi, H ; Sharif University of Technology
    Abstract
    This paper proposes a power-efficient flow-control method to tackle the problem of crosstalk faults in Network-on-Chips (NoCs). The method, called FRR (Flit Reordering/Rotation), combines three coding mechanisms to entirely eliminate opposite direction transitions (OD transitions) as the source of crosstalk faults in NoC communication channels. The first mechanism, called flit-reordering, reorders flits of every packet to find a flit sequence which produces the lowest number of OD transitions on NoC channels. The second mechanism called flit-rotation, logically rotates the content of every flit of the packet with respect to previously sent flit to achieve even more reduction in the number of... 

    Efficient design of a torque actuator for lower extremity exoskeleton based on muscle function analysis

    , Article 2011 International Conference on Mechatronics and Materials Processing, ICMMP 2011, Guangzhou, 18 November 2011 through 20 November 2011 ; Volume 328-330 , 2011 , Pages 1041-1044 ; 10226680 (ISSN) ; 9783037852385 (ISBN) Safavi, S ; Selk Ghafari, A ; Meghdari, A ; Guangzhou University ; Sharif University of Technology
    2011
    Abstract
    Several lower extremity exoskeletal systems have been developed for augmentation purpose. Common actuators, have important drawbacks such as complexity, and poor torque capacities. The main scope of this research is to propose a series elastic actuator for lower extremity exoskeletal system which was designed based on muscle functional analysis. For this purpose, a biomechanical framework consisting of a musculoskeletal model with ten degrees-of-freedom actuated by eighteen Hill-type musculotendon actuators per leg is utilized to perform the muscle functional analysis for common daily human activities. The simulation study illustrated functional differences between flexor and extensor... 

    DsReliM: Power-constrained reliability management in Dark-Silicon many-core chips under process variations

    , Article International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2015, 4 October 2015 through 9 October 2015 ; Oct , 2015 , Pages 75-82 ; 9781467383219 (ISBN) Salehi, M ; Shafique, M ; Kriebel, F ; Rehman, S ; Tavana, M. K ; Ejlali, A ; Henkel, J ; ACM; IEEE ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Due to the tight power envelope, in the future technology nodes it is envisaged that not all cores in a many-core chip can be simultaneously powered-on (at full performance level). The power-gated cores are referred to as Dark Silicon. At the same time, growing reliability issues due to process variations and soft errors challenge the cost-effective deployment of future technology nodes. This paper presents a reliability management system for Dark Silicon chips (dsReliM) that optimizes for reliability of on-chip systems while jointly accounting for soft errors, process variations and the thermal design power (TDP) constraint. Towards the TDP-constrained reliability optimization, dsReliM... 

    Energy and exergy analysis of a gas turbine power plant with inlet evaporating cooling systems

    , Article 23rd International Conference on Efficiency, Cost, Optimization, Simulation, and Environmental Impact of Energy Systems, 14 June 2010 through 17 June 2010 ; Volume 4 , 2010 , Pages 203-210 ; 9781456303181 (ISBN) Ameri, M ; Karimi, M ; Ahmadi, P ; Ecole Polytechnique Federale de Lausanne; Schweizerische Eidgenossenschaft ; Sharif University of Technology
    Aabo Akademi University 
    Abstract
    The gas turbine (GT) is known to feature low capital cost to power ratio, high flexibility, high reliability without complexity, short delivery time, early commissioning and commercial operation and fast starting-accelerating. Hence, researchers all over the world are working to increase the output power and efficiency of gas turbine cycle. One of the important techniques to increase the output power of such cycles is the compressor inlet air cooling method. The objective of this paper is to analysis the gas turbine cycle from both energy and exergy point of view. Thus, two important methods for increasing the output power, i.e. fog and media inlet air cooling systems are discussed.... 

    Computational study on design parameters of a solar chimney

    , Article International Conference on Sustainable Mobility Applications, Renewables and Technology, 23 November 2015 through 25 November 2015 ; 2015 ; 9781467395298 (ISBN) Esfidani, M. T ; Raveshi, S ; Shahsavari, M ; Sedaghat, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    Today, the production of energy and electricity is one of the major concerns of mankind. Electricity generation by solar energy is considered as one of the alternatives to fossil fuels. Solar chimneys mechanism is based on a natural phenomenon. In this process the solar energy heat up the earth's surface and the surrounding air. Due to the density difference between cold air and warm air, heated air ascends and causes air circulation. In this study, mathematical modelling of solar chimney and governing equations of this system will be discussed. In order to validate the numerical results, upwind velocity in chimney has been compared with a reliable reference results. As well as, the... 

    Sequoia: A high-endurance NVM-Based cache architecture

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 3 , 2016 , Pages 954-967 ; 10638210 (ISSN) Jokar, M. R ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Emerging nonvolatile memory technologies, such as spin-transfer torque RAM or resistive RAM, can increase the capacity of the last-level cache (LLC) in a latency and power-efficient manner. These technologies endure 109 - 1012 writes per cell, making a nonvolatile cache (NV-cache) with a lifetime of dozens of years under ideal working conditions. However, nonuniformity in writes to different cache lines considerably reduces the NV-cache lifetime to a few months. Writes to cache lines can be made uniformly by wear-leveling. A suitable wear-leveling for NV-cache should not incur high storage and performance overheads. We propose a novel, simple, and effective wear-leveling technique with... 

    A power gating switch box architecture in routing network of SRAM-based FPGAs in dark silicon era

    , Article 20th Design, Automation and Test in Europe, DATE 2017, 27 March 2017 through 31 March 2017 ; 2017 , Pages 1342-1347 ; 9783981537093 (ISBN) Seifoori, Z ; Khaleghi, B ; Asadi, H ; ACM Special Interest Group on Design Automation (ACM SIGDA); Electronic System Design Alliance (ESDA); et al.; European Design and Automation Association (EDAA); European Electronic Chips and Systems Design Initiative (ECSI); IEEE Council on Electronic Design Automation (CEDA) ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    Continuous down scaling of CMOS technology in recent years has resulted in exponential increase in static power consumption which acts as a power wall for further transistor integration. One promising approach to throttle the substantial static power of Field-Programmable Gate Array (FPGAs) is to power off unused routing resources such as switch boxes, known as dark silicon. In this paper, we present a Power gating Switch Box Architecture (PESA) for routing network of SRAM-based FPGAs to overcome the obstacle for further device integration. In the proposed architecture, by exploring various patterns of used multiplexers in switch boxes, we employ a configurable controller to turn off unused... 

    Focus on What is Needed: Area and Power Efficient FPGAs Using Turn-Restricted Switch Boxes

    , Article 18th IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2019, 15 July 2019 through 17 July 2019 ; Volume 2019-July , 2019 , Pages 615-620 ; 21593469 (ISSN) ; 9781538670996 (ISBN) Serajeh Hassani, F ; Sadrosadati, M ; Pointner, S ; Wille, R ; Sarbazi Azad, H ; Technical Committee on VLSI (TCVLSI) of IEEE Computer Society (CS) ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Field-Programmable Gate Arrays (FPGAs) employ a significant amount of SRAM cells in order to provide a flexible routing architecture. While this flexibility allows for a rather easy realization of arbitrary functionality, the respectively required cells significantly increase the area and power consumption of the FPGA. At the same time, it can be observed that full routing flexibility is frequently not needed in order to efficiently realize the desired functionality. In this work, we are proposing an FPGA realization which focuses on what is needed and realizes only a subset of the possible routing options using what we call Turn-Restricted Switch-Boxes. While this may yield a slight... 

    A power efficient masking technique for design of robust embedded systems against SEUs and SETs

    , Article 23rd IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2008, Boston, MA, 1 October 2008 through 3 October 2008 ; October , 2008 , Pages 193-201 ; 15505774 (ISSN) Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    2008
    Abstract
    In this paper, an SET and SEU tolerant latch suitable for use in embedded systems called SETUR (Single Event Transient and Upset Robust latch) is presented and evaluated. The SETUR is based on the use of a redundant feedback line and a CMOS delay element to tolerate the effect of the SETs occurring in the input line of the latch as well as SEUs occurring inside the latch. The experimental results show that the probability of an SET resulting in a soft error can be reduced up to 90% by choosing a proper delay value. The soft error rate of the SETUR due to SEUs occurring inside the latch is reduced by 95% while having lower area, power and performance overhead than the previously proposed...