Loading...
Search for: error-detection
0.007 seconds
Total 67 records

    Control-flow checking using branch instructions

    , Article 5th International Conference on Embedded and Ubiquitous Computing, EUC 2008, Shanghai, 17 December 2008 through 20 December 2008 ; Volume 1 , January , 2008 , Pages 66-72 ; 9780769534923 (ISBN) Jafari Nodoushan, M ; Miremadi, S. G ; Ejlali, A ; IEEE Computer Society Technical Committee on Scalable Computing ; Sharif University of Technology
    2008
    Abstract
    This paper presents a hardware control-flow checking scheme for RISC processor-based systems. This Scheme combines two error detection mechanisms to provide high coverage. The first mechanism uses parity bits to detect faults occurring in the opcodes and in the target addresses of branch instructions which lead to erroneous branches. The second mechanism uses signature monitoring to detect errors occurring in the sequential instructions. The scheme is implemented using a watchdog processor for an VHDL model of the LEON2 processor. About 31800 simulation faults were injected into the LEON2 processor. The results show that the error detection coverage is about 99.5% with average detection... 

    A high speed and low cost error correction technique for the carry select adder

    , Article International Conference on Availability, Reliability and Security, ARES 2009, Fukuoka, Fukuoka Prefecture, 16 March 2009 through 19 March 2009 ; 2009 , Pages 635-640 ; 9780769535647 (ISBN) Namazi, A ; Miremadi, S. G ; Ejlali, A ; Sharif University of Technology
    2009
    Abstract
    In this paper, a high speed and low cost error correction technique is proposed for the Carry Select Adder (CSA) which can correct both transient and permanent errors and is applicable on all partitioning types of the basic CSA circuit. The proposed error correction technique is compatible with all existing error detection techniques which are proposed for the CSA adder. The synthesized results show that applying this novel error correction technique to a CSA with error detection technique results in up to 18.4%, 3.1% and 14.9%, increase in power consumption, delay and area respectively. © 2009 IEEE  

    Transient detection in COTS processors using software approach

    , Article Microelectronics Reliability ; Volume 46, Issue 1 , 2006 , Pages 124-133 ; 00262714 (ISSN) Rajabzadeh, A ; Miremadi, S. G ; Sharif University of Technology
    2006
    Abstract
    This paper presents a software-based error detection scheme called enhanced committed instructions counting (ECIC) for embedded and real-time systems using commercial off-the-shelf (COTS) processors. The scheme uses the internal performance monitoring features of a processor, which provides the ability to count the number of committed instructions in a program. To evaluate the ECIC scheme, 6000 software induced faults are injected into a 32-bit Pentium® processor. The results show that the error detection coverage varies between 90.52% and 98.18%, for different workloads. © 2004 Elsevier Ltd. All rights reserved  

    Reliable and high-speed KASUMI block cipher by residue number system code

    , Article World Applied Sciences Journal ; Volume 17, Issue 9 , 2012 , Pages 1149-1158 ; 18184952 (ISSN) Mahyar, H ; Sharif University of Technology
    2012
    Abstract
    Third generation cellular network technology (3G) can revolutionize communications and data exchanges between many people in a more overwhelming fashion than 2G and 2.5G networks did. The 3G UMTS, the 3G GSM and the 3G GPRS rely on the KASUMI block cipher. Therefore, increasing speed, decreasing power consumption and error detection/correction are the major concerns of the KASUMI algorithm and its generation. On the other hand, Residue Number System is a non-weighted number system and it is currently considered as an important method for high-speed, low-power, parallel and carry-free arithmetic realizations. Redundant Residue Number System is an extension of RNS that also supports error... 

    Categorization of various essential datasets and methods for textual spelling detection and normalization

    , Article Iranian Journal of Information Processing Management ; Volume 32, Issue 4 , 2017 , Pages 1143-1170 ; 22518223 (ISSN) Hosseini Beheshti, M. S ; Abdi Ghavidel, H ; Sharif University of Technology
    Iranian Research Institute for Scientific Information and Documentation  2017
    Abstract
    One of the most primary phases of automatic text processing is spelling error detection and grapheme normalization. Storing textual documents faces several problems without passing this phase, which causes a disturbance in retrieving the documents automatically. Therefore, specialists in the fields of natural language processing and computational linguistics usually make an attempt to sample various data through presenting ideal methods and algorithms in order to reach the normalized data. Several researches have been conducted on English and some other languages, which have been followed by a certain amount of researches on Farsi too. Sometimes, these several researches have remained to be... 

    A software-based error detection technique using encoded signatures

    , Article 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Arlington, VA, 4 October 2006 through 6 October 2006 ; 2006 , Pages 389-397 ; 15505774 (ISSN); 076952706X (ISBN); 9780769527062 (ISBN) Sedaghat, Y ; Miremadi, S. G ; Fazeli, M ; Sharif University of Technology
    2006
    Abstract
    In this Paper, a software-based control flow checking technique called SWTES (Software-based error detection Technique using Encoded Signatures) is presented and evaluated. This technique is processor independent and can be applied to any kind of processors and microcontrollers. To implement this technique, the program is partitioned to a set of blocks and the encoded signatures are assigned during the compile time. In the run-time, the signatures are compared with the expected ones by a monitoring routine. The proposed technique is experimentally evaluated on an ATMEL MCS51 microcontroller using Software Implemented Fault Injection (SWIFI). The results show that this technique detects about... 

    Error detection enhancement in COTS superscalar processors with performance monitoring features

    , Article Journal of Electronic Testing: Theory and Applications (JETTA) ; Volume 20, Issue 5 SPEC.ISS , 2004 , Pages 553-567 ; 09238174 (ISSN) Rajabzadeh, A ; Miremadi, S. G ; Mohandespour, M ; Sharif University of Technology
    2004
    Abstract
    Increasing use of commercial off-the-shelf (COTS) superscalar processors in industrial, embedded, and real-time systems necessitates the development of error detection mechanisms for such systems. This paper presents an error detection scheme called Committed Instructions Counting (CIC) to increase error detection in such systems. The scheme uses internal Performance Monitoring features and an external watchdog processor (WDP). The Performance Monitoring features enable counting the number of committed instructions in a program. The scheme is experimentally evaluated on a 32-bit Pentium® processor using software implemented fault injection (SWIFI). A total of 8181 errors were injected into... 

    PSP-Cache: A low-cost fault-tolerant cache memory architecture

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2014 ; ISSN: 15301591 ; ISBN: 9783981537024 Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    Cache memories constitute a large fraction of processor chip area and are highly vulnerable to soft errors caused by energetic particles. To protect these memories, most of the modern processors employ Error Detection Codes (EDCs) or Error Correction Codes (ECCs). EDCs/ECCs impose significant overheads in terms of area and energy; these overheads increase as a function of interleaving EDCs/ECCs to detect/correct multiple errors. This paper proposes a new cache architecture to minimize the area and energy overheads of EDCs/ECCs in set-associative L1-caches. Simulation results for a 4-way set-associative cache show that the proposed architecture reduces both the area and static power overheads... 

    ScTMR: A scan chain-based error recovery technique for TMR systems in safety-critical applications

    , Article Proceedings -Design, Automation and Test in Europe, DATE, 14 March 2011 through 18 March 2011 ; March , 2011 , Pages 289-292 ; 15301591 (ISSN) ; 9783981080179 (ISBN) Ebrahimi, M ; Miremadi, S. G ; Asadi, H ; Sharif University of Technology
    2011
    Abstract
    We propose a roll-forward error recovery technique based on multiple scan chains for TMR systems, called Scan chained TMR (ScTMR). ScTMR reuses the scan chain flip-flops employed for testability purposes to restore the correct state of a TMR system in the presence of transient or permanent errors. In the proposed ScTMR technique, we present a voter circuitry to locate the faulty module and a controller circuitry to restore the system to the fault-free state. As a case study, we have implemented the proposed ScTMR technique on an embedded processor, suited for safety-critical applications. Exhaustive fault injection experiments reveal that the proposed architecture has the error detection and... 

    FEDC: Control flow error detection and correction for embedded systems without program interruption

    , Article ARES 2008 - 3rd International Conference on Availability, Security, and Reliability, Proceedings, 4 March 2008 through 7 March 2008, Barcelona ; 2008 , Pages 33-38 ; 9780769531021 (ISBN) Farazmand, N ; Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    2008
    Abstract
    This paper proposes a new technique called CFEDC to detect and correct control flow errors (CFEs) without program interruption. The proposed technique is based on the modification of application software and minor changes in the underlying hardware. To demonstrate the effectiveness of CFEDC, it has been implemented on an OpenRISC 1200 as a case study. Analytical results for three workload programs show that this technique detects all CFEs and corrects on average about 81.6% of CFEs. These figures are achieved with zero error detection /correction latency. According to the experimental results, the overheads are generally low as compared to other techniques; the performance overhead and the... 

    A low power error detection technique for floating-point units in embedded applications

    , Article 5th International Conference on Embedded and Ubiquitous Computing, EUC 2008, Shanghai, 17 December 2008 through 20 December 2008 ; Volume 1 , January , 2008 , Pages 199-205 ; 9780769534923 (ISBN) Shekarian, M. H ; Ejlali, A ; Miremadi, S. G ; IEEE Computer Society Technical Committee on Scalable Computing ; Sharif University of Technology
    2008
    Abstract
    Reliability and low power consumption are two major design objectives in today's embedded systems. Since floating-point units (FPU) are required for some embedded applications (e.g., multimedia applications), careful considerations should be given to the reliability and power consumptions of FPUs used in embedded systems. When using existing fault handling mechanisms for FPUs, it has been observed that the division operation imposes a considerable hardware overhead as compared to the addition, subtraction, and multiplication operations. Although the division operation is less frequently used, in reliable applications it is a must that all the components operate properly. In this paper, we... 

    Phase-change memory architectures

    , Article Advances in Computers ; Volume 118 , 2020 , Pages 29-48 Asadinia, M ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2020
    Abstract
    Some of the recent approaches regarding leverage PCM will be reviewed in this chapter. The chapter starts with a discussion regarding future main memory systems that includes hybrid architecture schemes using both PCM and DRAM arrays. Later, we focus on PCM only approaches and this section will help describe some techniques for reducing the increased read latency because of slow writes in PCMs. In this chapter, we also illustrate wear-leveling approaches and review the security problems of this memory approach which are lifetime limited. This section includes an overview of the recent security aware wear-leveling techniques, whose methods help detect attacks, and their issues during the... 

    Error detection enhancement in PowerPC architecture-based embedded processors

    , Article Journal of Electronic Testing: Theory and Applications (JETTA) ; Volume 24, Issue 1-3 , 2008 , Pages 21-33 ; 09238174 (ISSN) Fazeli, M ; Farivar, R ; Miremadi, S. G ; Sharif University of Technology
    2008
    Abstract
    This paper presents a behavior-based error detection technique called Control Flow Checking using Branch Trace Exceptions for PowerPC processors family (CFCBTE). This technique is based on the branch trace exception feature available in the PowerPC processors family for debugging purposes. This technique traces the target addresses of program branches at run-time and compares them with reference target addresses to detect possible violations caused by transient faults. The reference target addresses are derived by a preprocessor from the source program. To enhance the error detection coverage, three other mechanisms, i.e., Machine Check Exception, System Trap Instructions and Work Load Timer... 

    Design and analysis of optimum distribution free OS-CFAR for non coherent radars

    , Article International Radar Symposium, IRS 2005, 6 September 2005 through 8 September 2005 ; Volume 2005-January , 2005 ; 21555753 (ISSN) Norouzi, Y ; Sheikhi, A ; Nayebi, M. M ; DLR; EADS; serco - bringing service to life; sms GmbH; Technische Universitat Hamburg-Harburg (TUHH) ; Sharif University of Technology
    IEEE Computer Society  2005
    Abstract
    In this paper, general form of optimum distribution free (D.F.) detector for noncoherent radars is extracted. This general form is very complex to be analyzed, therefore, we have derived two special cases and one case which is more interesting and practical is analyzed accurately. We have shown that in spite of its simple form, the detector has considerable benefits over conventional OSCFAR schemes  

    Low cost concurrent error detection for on-chip memory based embedded processors

    , Article Proceedings - 2011 IFIP 9th International Conference on Embedded and Ubiquitous Computing, EUC 2011, 24 October 2011 through 26 October 2011 ; October , 2011 , Pages 114-119 ; 9780769545523 (ISBN) Khosravi, F ; Farbeh, H ; Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    This paper proposes an efficient concurrent error detection method using control flow checking for embedded processors. The proposed method is based on the co-operation of two hardware modules: 1) an on-chip hardware component to detect branch instructions and generate signatures for the running program, and 2) an external watchdog processor to compare runtime signatures and branch addresses with the information extracted offline. The proposed method is implemented on an embedded processor core and is evaluated by a simulation based statistical fault injection approach where faults are injected into cache and main memory. Experimental results show that the proposed method detects more than... 

    Software-based control flow error detection and correction using branch triplication

    , Article Proceedings of the 2011 IEEE 17th International On-Line Testing Symposium, IOLTS 2011, 13 July 2011 through 15 July 2011 ; July , 2011 , Pages 214-217 ; 9781457710551 (ISBN) Ghalaty, N. F ; Fazeli, M ; Rad, H. I ; Miremadi, S. G ; Sharif University of Technology
    2011
    Abstract
    Ever Increasing use of commercial off-the-shelf (COTS) processors to reduce cost and time to market in embedded systems has brought significant challenges in error detection and recovery methods employing in such systems. This paper presents a software based control flow error detection and correction technique, so called branch TMR (BTMR), suitable for use in COTS-based embedded systems. In BTMR method, each branch instruction is triplicated and a software interrupt routine is invoked to check the correctness of the branch instruction. During the execution of a program, when a branch instruction is executed, it is compared with the second redundant branch in the interrupt routine. If a... 

    Including Facilities in an Embedded Processor for External Watchdog Processors

    , M.Sc. Thesis Sharif University of Technology Khosravi, Faramarz (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    The wide range of embedded processors and their reliance on nano-scale technologyhave brought them serious concerns on reliability, power consumption, timeliness and cost. Therefore, theseconcernsmust be addressed at the design process withemploying minimum facilities.This thesis proposes a low-cost concurrent error detection method based on control flow checking suitable for embedded processors. Most of the previous control flow checking methods either do not consider the embedded processors concerns, or they are not applicable to processors with on-chip cache memories.The key idea behind the proposed control flow checking method is to embed specific hardware components in the IP core of an... 

    Exception Fault Localization in Smart Mobile Applications

    , M.Sc. Thesis Sharif University of Technology Mirzaei, Hamed (Author) ; Heydarnoori, Abbas (Supervisor)
    Abstract
    In software programs, most of the time, there is a chance of error, even though they are tested carefully. Finding error-related pieces of code is one of the most complicated tasks and it can make incorrect results if done manually. Semi-automated and fully-automated methods have been introduced to overcome this issue. The rapid growth of developing smart mobile applications (SMAs) in recent years, competition among the development teams and many other factors have increased the chance of errors and hence, the quality of these applications have reduced. There are two approaches to test SMAs in order to reach a high degree of quality: (1) using existing traditional methods and adapting them... 

    Development Object Oriented Framework for Data Reconciliation of Chemical Processes

    , M.Sc. Thesis Sharif University of Technology Aghamir Mohammad Ali, Mohammad Ali (Author) ; Bozorgmehry Boozarjomehry, Ramin (Supervisor)
    Abstract
    In this study, in order to enhance data contaminated with random and gross errors, the implementation of data reconciliation technique on large-scale industrial unit was investigated. Data reconciliation results on the naphtha reformer unit, revealed that uncertainty in estimation of input and output reactor temperatures, decreased up to 2% in comparison with measured ones. In addition, uncertainties in estimation of the mass flow rates have declined by nearly 30%. Also, an object-oriented framework for plant wide data reconciliation was designed. This framework was designed as an extension of plant wide identification software developed previously. Moreover, adding five classes to the... 

    A SEU-protected cache memory-based on variable associativity of sets

    , Article Reliability Engineering and System Safety ; Volume 92, Issue 11 , 2007 , Pages 1584-1596 ; 09518320 (ISSN) Zarandi, H. R ; Miremadi, S. G ; Sharif University of Technology
    2007
    Abstract
    SRAM cache memories suffer from single event upset (SEU) faults induced by energetic particles such as neutron and alpha particles. To protect these caches, designers often use error detection and correction codes, which typically provide single-bit error detection and even correction. However, these codes have low error detection capability or incur significant performance penalties. In this paper, a protected cache scheme based on the variable associativity of sets is presented. In this scheme, cache space is divided into sets of different sizes with variable tag field lengths. The other remained bits of tags are used for protecting the tag using a new protection code. This leads to...