Loading...
Search for: dark-silicon
0.005 seconds

    Topology specialization for networks-on-chip in the dark silicon era

    , Article Advances in Computers ; Volume 110 , 2018 , Pages 217-258 ; 00652458 (ISSN); 9780128153581 (ISBN) Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2018
    Abstract
    Following Moore's law, the number of transistors on chip has grown exponentially for decades. This growing transistor count, coupled with recent architecture and compiler advances, has resulted in an unprecedented exponential performance increase of computers. With the end of Dennard scaling, however, the power required to operate all transistors at the full performance level simultaneously grows across the technology generations. Consequently, chips will keep an increasing fraction of transistors power gated or dark to remain within the power envelope. The power-gated part of the chip, known as dark silicon, is expected to comprise a significant portion of the die real estate in new... 

    Revisiting processor allocation and application mapping in future CMPs in dark silicon era

    , Article Advances in Computers ; Volume 110 , 2018 , Pages 35-81 ; 00652458 (ISSN); 9780128153581 (ISBN) Hoveida, M ; Aghaaliakbari, F ; Jalili, M ; Bashizade, R ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2018
    Abstract
    With technology advances and the emergence of new fabrication and VLSI technologies, current and future chip multiprocessors (CMPs) are expected to have tens to hundreds of processing elements and Gigabytes of on-chip caches, which are connected by a high bandwidth network-on-chip (NoC). Unfortunately, due to limited power budget of a computing system, specially for its processing element(s), it is impossible to keep all cores, caches, and network elements working at highest voltage level—that would resulted in dark silicon computing era, where by employing system-level or architecture-level techniques, one can keep a great portion of a CMP elements OFF (or in dim mode) to meet the power... 

    Fine-grained architecture in dark silicon era for SRAM-based reconfigurable devices

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; Vol. 61, Issue. 10 , 2014 , Pages 798-802 ; ISSN: 15497747 Yazdanshenas, S ; Asadi, H ; Sharif University of Technology
    Abstract
    In this brief, we present a fine-grained dark silicon architecture to facilitate further integration of transistors in static random access memory-based reconfigurable devices. In the proposed architecture, we present a technique to power off inactive configuration cells in nonutilized or underutilized logic blocks. We also propose a routing circuitry capable of turning off the configuration cells of connection blocks (CBs) and switch boxes (SBs) in the routing fabric. Experimental results carried out on the Microelectronics Center of North Carolina benchmark show that power consumption in configuration cells of lookup tables, CBs, and SBs can, on average, be reduced by 27%, 75%, and 4%,... 

    Introduction to emerging SRAM-Based FPGA architectures in dark silicon Era

    , Article Advances in Computers ; Volume 110 , 2018 , Pages 259-294 ; 00652458 (ISSN); 9780128153581 (ISBN) Seifoori, Z ; Ebrahimi, Z ; Khaleghi, B ; Asadi, H ; Sharif University of Technology
    Academic Press Inc  2018
    Abstract
    The increased leakage power of deep-nano technologies in the one hand, and exponential growth in the number of transistors in a given die particularly in Field-Programmable Gate Arrays (FPGAs) have resulted in an intensified rate of static power dissipation as well as power density. This ever-increasing static power consumption acts as a power wall to further integration of transistors and has caused the breakdown of Dennard scaling. To meet the available power budget and preclude reliability challenges associated with high power density, designers are obligated to restrict the active percentage of the chip by powering off a selective fraction of silicon die, referred to as Dark Silicon.... 

    Dark silicon and the history of computing

    , Article Advances in Computers ; Volume 110 , 2018 , Pages 1-33 ; 00652458 (ISSN); 9780128153581 (ISBN) Lotfi Kamran, P ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2018
    Abstract
    For many years, computer designers benefitted from Moore's law and Dennard scaling to significantly improve the speed of single-core processors. The failure of Dennard scaling pushed the computer industry toward homogenous multicore processors for the performance improvement to continue without significant increase in power consumption. Unfortunately, even homogeneous multicore processors cannot offer the level of energy efficiency required to operate all the cores at the same time in today's and especially tomorrow's technologies. As a result of lack of energy efficiency, not all the cores in a multicore processor can be functional at the same time. This phenomenon is referred to as dark... 

    Leveraging dark silicon to optimize networks-on-chip topology

    , Article Journal of Supercomputing ; Volume 71, Issue 9 , 2015 , Pages 3549-3566 ; 09208542 (ISSN) Modarressi, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Kluwer Academic Publishers  2015
    Abstract
    This paper presents a reconfigurable network-on-chip (NoC) for many-core chip multiprocessors (CMPs) in the dark silicon era, where a considerable part of high-end chips cannot be powered up due to the power and bandwidth walls. Core specialization, which trades off the cheaper silicon area with energy-efficiency, is a promising solution to the dark silicon challenge. This approach integrates a selection of many diverse application-specific cores into a single many-core chip. Each application then activates those cores that best match its processing requirements. Since active cores may not always form a contiguous active region in the chip, such a partially active many-core CMP requires some... 

    Efficient mapping of applications for future chip-multiprocessors in dark silicon era

    , Article ACM Transactions on Design Automation of Electronic Systems ; Volume 22, Issue 4 , 2017 ; 10844309 (ISSN) Hoveida, M ; Aghaaliakbari, F ; Bashizade, R ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    The failure of Dennard scaling has led to the utilization wall that is the source of dark silicon and limits the percentage of a chip that can actively switch within a given power budget. To address this issue, a structure is needed to guarantee the limited power budget along with providing sufficient flexibility and performance for different applications with various communication requirements. In this article, we present a generalpurpose platform for future many-core Chip-Multiprocessors (CMPs) that benefits from the advantages of clustering, Network-on-Chip (NoC) resource sharing among cores, and power gating the unused components of clusters. We also propose two task mapping methods for... 

    Mapping and Scheduling Applications onto Multi-Core Chip-Multiprocessors in Dark-Silicon Era

    , M.Sc. Thesis Sharif University of Technology Hoveida, Mohaddeseh (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Growing transistor counts, limited power budgets, and the breakdown of voltage scaling are currently conspiring to create a utilization wall that limits the fraction of a chip that can run at full speed at one time. This concept is the basis of the Dark Silicon definition. To address this issue, it is needed a structure to guaranty Limited power budget and obtain sufficient flexibility and performance for different applications with variety communication needs. Regarding to this structure, our aim is to present a platform for Networks-on-Chip that uses clustering and resource sharing among cores. Moreover, as task mapping on processing elements in NOCs is one of the most effective way to... 

    Efficient Routing Architectures for Reconfigurable Devices

    , Ph.D. Dissertation Sharif University of Technology Seifoori, Zeinab (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    Due to reduced Non-Recurring Engineering (NRE) costs, shorter time to market, design flexibility, and reprogramming capability of Field-Programmable Gate Arrays (FPGAs) as compared to Application-Specific Integrated Circuits (ASICs), FPGAs has been raised as a suitable substrate for implementation of digital systems. However, the high flexibility of reconfigurable devices leads to great power consumption, chip area, and reliability difference between ASICs and FPGAs. In addition, with the advent of multi-tenant FPGAs in cloud computing environments, it has been shown that crosstalk side-channel attack, which can be used by a malicious IP to leak valuable information, has become an urgent... 

    A reconfigurable network-on-chip architecture for heterogeneous CMPs in the dark-silicon era

    , Article Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors ; 18-20 June , 2014 , pp. 76-77 ; ISSN: 10636862 ; ISBN: 9781479936090 Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    Core specialization is a promising solution to the dark silicon challenge. This approach trades off the cheaper silicon area with energy-efficiency by integrating a selection of many diverse application-specific cores into a single billion-transistor multicore chip. Each application then activates the subset of cores that best matches its processing requirements. These cores act as a customized application-specific CMP for the application. Such an arrangement of cores requires some special on-chip inter-core communication treatment to efficiently connect active cores. In this paper, we propose a reconfigurable network-on-chip that leverages the routers of the dark portion of the chip to... 

    PEAF: A power-efficient architecture for SRAM-based fpgas using reconfigurable hard logic design in dark silicon era

    , Article IEEE Transactions on Computers ; Volume 66, Issue 6 , 2017 , Pages 982-995 ; 00189340 (ISSN) Ebrahimi, Z ; Khaleghi, B ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2017
    Abstract
    Significant increase of static power in nano-CMOS era and, subsequently, the end of Dennard scaling has put a Power Wall to further integration of CMOS technology in Field-Programmable Gate Arrays (FPGAs). An efficient solution to cope with this obstacle is power gating inactive fractions of a single die, resulting in Dark Silicon. Previous studies employing power gating on SRAM-based FPGAs have primarily focused on using large-input Look-up Tables (LUTs). The architectures proposed in such studies inherently suffer from poor logic utilization which limits the benefits of power gating techniques. This paper proposes a Power-Efficient Architecture for FPGAs (PEAF) based on combination of... 

    DsReliM: Power-constrained reliability management in Dark-Silicon many-core chips under process variations

    , Article International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2015, 4 October 2015 through 9 October 2015 ; Oct , 2015 , Pages 75-82 ; 9781467383219 (ISBN) Salehi, M ; Shafique, M ; Kriebel, F ; Rehman, S ; Tavana, M. K ; Ejlali, A ; Henkel, J ; ACM; IEEE ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Due to the tight power envelope, in the future technology nodes it is envisaged that not all cores in a many-core chip can be simultaneously powered-on (at full performance level). The power-gated cores are referred to as Dark Silicon. At the same time, growing reliability issues due to process variations and soft errors challenge the cost-effective deployment of future technology nodes. This paper presents a reliability management system for Dark Silicon chips (dsReliM) that optimizes for reliability of on-chip systems while jointly accounting for soft errors, process variations and the thermal design power (TDP) constraint. Towards the TDP-constrained reliability optimization, dsReliM... 

    A Power-efficient Architecture for SRAM-based FPGAs Using Reconfigurable Hard Logic Design in Dark Silicon Era

    , M.Sc. Thesis Sharif University of Technology Ebrahimi, Zahra (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    Significant increase of static power with downscaling of transistor feature size and threshold voltage has lead to the end of Dennard scaling. This obstacle has put a Power Wall to further integration of CMOS technology in Field Programmable Gate Arrays (FPGAs). An efficient solution to cope with this obstacle is to apply power gating to the inactive fractions of a single die, referred to as Dark Silicon. Previous studies employing power gating on SRAM-based FPGAs have primarily focused on using large-input Look-up Tables (LUTs) which suffer from poor logic utilization, and subsequently, limiting the benefits of power gating techniques. This thesis proposes a heterogeneous Power-Efficient... 

    Processor Allocation for Future Multi-Core Chip-Multiprocessor

    , M.Sc. Thesis Sharif University of Technology Agha Ali Akbari, Fatemeh (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Since 2005, processor designers have increased core counts to exploit Moore’s Law scaling, rather than focusing on single-core performance. For decades, this approach provides desired performance for parallel and multithreaded workloads. On the other hand, rising of utilization wall limits the number of transistors that can be powered on in chip and result in a large region to be dark. So, same as before trend for performance scaling in future multi processor, an appropriate architecture is essential. There are some structures for this era which used specialization approach to cope with the limited power budget. Therefore, in this thesis, we propose a general-purpose platform that provides...